Лекція 8

В процесі роботи цифрового пристрою іноді виникають помилки, які спотворюють інформацію. Причинами таких помилок можуть бути:

1. вихід з ладу будь-якого елемента, через що пристрій втрачає працездатність;

3. Вихід з ладу елемента пристрою розглядається як несправність. При цьому в пристрої спостерігається постійне спотворення інформації.

Інший характер спотворень інформації має місце під впливом перешкод. Викликавши помилку, перешкоди можуть потім протягом тривалого часу не проявляти себе. Такі помилки називають випадковими збоями.

У зв'язку з виникненням помилок необхідно постачати цифрові пристрої системою контролю правильності циркулюючої в ній інформації. Такі системи контролю можуть призначатися для вирішення завдань двох типів: завдання виявлення і завдання виправлення помилок. Система виявлення помилок, виробляючи контроль інформації, здатна лише виносити рішення: немає помилок і є помилка, причому в останньому випадку вона не вказує, які розряди слів спотворені. Система виправлення помилок сигналізує про наявність помилок і вказує, які з розрядів спотворені. При цьому безпосереднє виправлення цифр спотворених розрядів являє собою вже нескладну операцію. Так, якщо відомо, що деякий розряд двійкового слова помилковий, то поява в ньому помилкового лог.О означає, що правильне значення - лог.1 і навпаки.

Таким чином, важко локалізувати помилку, тобто вказати, в яких розрядах слова вона виникла. Після вирішення цього завдання саме виправлення зводиться лише до інверсії цифр спотворених розрядів, тому зазвичай під виправленням помилок розуміють рішення задачі локалізації помилок.

При постійному порушенні правильності інформації, виявивши помилку, можна вжити заходів для пошуку несправного елемента і замінити його справним. Причини ж випадкових збоїв зазвичай виявляються надзвичайно важко, і такі зрідка виникають помилки бажано було б усувати автоматично, відновлюючи правильне значення слів за допомогою системи виправлення помилок. Однак слід мати на увазі, що система виправлення помилок вимагає значно більшої кількості обладнання, ніж система виявлення помилок.

Нижче окремо розглядаються методи контролю цифрових пристроїв двох типів: пристроїв зберігання і передачі інформації, пристроїв обробки інформації. До пристроїв першого типу можуть бути віднесені пристрої, що запам'ятовують, регістри, ланцюги передачі та інші пристрої, в яких інформація не повинна змінюватися. На виході цих пристроїв інформація та ж, що і на вході. До пристроїв другого типу відносяться пристрої, у яких вхідна інформація не збігається з вихідною і в тих випадках, коли помилки не виникають. Прикладом можуть служити арифметичні і логічні пристрої.



Виявлення одиночних помилок в пристроях зберігання і передачі інформації

Для подальшого викладу буде потрібно поняття кодове відстань по Хеммінг. Для двох довічних слів кодове відстань по Хеммінг є число розрядів, в яких різняться ці слова. Так, для слів 110112 і 101102 кодове відстань d- 3, так як ці слова різняться в трьох розрядах (першому, третьому і четвертому).

Нехай використовувані слова мають n розрядів. Для представлення інформації можна використовувати всі 2n можливих комбінацій від 00 ... 0 до 11 ... 1. Тоді для кожного слова знайдуться інші такі слова, які відрізняються від даного не більше ніж в одному розряді. Наприклад, для деякого слова 1101 можна знайти такі слова: 0101, що відрізняються тільки в четвертому розряді; 1001, що відрізняється тільки в третьому розряді, і т.д. Таким чином, мінімальна кодова відстань \u003d 1. Виявити помилки в таких словах неможливо. Наприклад, якщо передавалося слово N1 \u003d 1101, а прийнято N2 \u003d 0101, то в прийнятому слові неможливо виявити ніяких ознак наявності помилки (адже могло б бути передано і слово N2 \u003d 0101). Для того щоб можна було виявити поодинокі помилки (помилки, що виникають не більше ніж в одному з розрядів слова), мінімальна кодова відстань повинна задовольняти умові dmin\u003e 2. Ця умова вимагає, щоб будь-яка пара використовуваних слів відрізнялася одна від одної не менше ніж в двох розрядах. При цьому, якщо виникає помилка, вона утворює таку комбінацію цифр, яка не використовується для представлення слів, тобто утворює так звану заборонену комбінацію.

Для отримання d \u003d 2 досить до слів, які використовують будь-які комбінації з n інформаційних двійкових розрядів, додати один додатковий розряд, званий контрольним. При цьому значення цифри контрольного розряду будемо вибирати таким, щоб загальне число одиниць в слові було парних. наприклад:

11001110111 0 11010100111 1

У першому з наведених прикладів число одиниць в інформаційній частині парне (8), тому контрольний розряд повинен містити 0. У другому прикладі число одиниць в інформаційній частині слова непарній (7), і для того, щоб загальне число одиниць в слові було парних, контрольний розряд повинен містити одиницю. Таким способом в усі слова вводиться певна ознака - парність числа одиниць. Прийняті слова перевіряються на наявність в них цієї ознаки, і, якщо він виявляється порушеним (тобто виявляється, що число містяться в розрядах слова одиниць непарній), приймається рішення, що слово містить помилку.

Цей метод дозволяє виявляти помилку. Але з його допомогою можна визначити, в якому розряді слова міститься помилка, тобто не можна виправити її. Крім того, при цьому методі не можуть виявлятися помилки парної кратності, тобто помилки одночасно в двох, чотирьох і т.д. розрядах, так як при такому парному числі помилок не порушується парність числа одиниць в розрядах слова. Однак поряд з поодинокими помилками можуть виявлятися помилки, що виникають одночасно в будь-якій непарній числі розрядів.

На практиці часто замість ознаки парності використовується ознака непарності, тобто цифра контрольного розряду вибирається такий, щоб загальне число одиниць в розрядах слова було непарним. При цьому, якщо має місце, наприклад, обрив лінії зв'язку, це виявляється, оскільки прийняті слова матимуть 0 у всіх розрядах і порушиться принцип непарності числа одиниць

Сучасні цифрові РЕУ складні, включають в свій склад тисячі і десятки тисяч елементів і відмова будь-якого з них може припинити функціонування РЕЗ в найвідповідальніший момент. Фізичні методи контролю стану цифрових РЕЗ, описані в попередніх параграфах, мають недостатню достовірність, незважаючи на всі їх різноманіття і глибину. За достовірності визначення працездатного стану цифрових РЕУ (ЦУ) крім фізичних можуть використовуватися ефективні тестові методи діагностики і контролю. Істота тестового контролю складає тестовий сигнал, що подається на ЦУ і викликає таку реакцію на вхідний сигнал, який свідчить про те, що ЦУ знаходиться в працездатному стані.

Контрольний тест ЦУ формально визначається як послідовність вхідних наборів і відповідних їм вихідних наборів, які забезпечують контроль справності цифрового вузла. Контрольні тести складаються таким чином, що дозволяють виявити поодинокі константні несправності S \u003d 0 (1) в статистичному режимі.

Працездатність контролюється наступним чином. На вхід ЦУ подаються набори контрольного тесту. Знімаються з ЦУ вихідні набори порівнюються з еталонними. При збігу кожного з вихідних наборів тесту з еталонними наборами ЦУ вважається працездатним. Контрольні тести складаються на базі аналізу принципових схем ЦУ. Що стосується розбіжності сигналів контрольного і еталонного наборів подальша подача тесту припиняється і на цьому наборі діагностується відмова (несправність). Діагностування відмови починається від того виходу ЦУ, на якому зафіксовано розбіжність контрольного і еталонного наборів. На тому логічному елементі схеми, який пов'язаний з цим виходом, вимірюються вихідний сигнал U і вхідні сигнали x1 .... xk, де k - число входів елементів ЦУ. За виміряним значенням вхідних сигналів відповідно до алгоритму функціонування визначають (Uо - то значення вихідного сигналу, яке повинно бути: Uo \u003d f (x1, x2, ..., xk). У разі нерівності U ≠ Uo - відмовив вважається сам елемент або гальванічна зв'язок від його виходу. При U \u003d Uo визначаються істотні входи логічного елемента, а потім ті логічні елементи, які пов'язані з цими входами. Під істотним розуміється такий вхід елемента, на якому зміна логічного сигналу призводить до зміни сигналу на виході. Описані вимірювання виконуються для всіх елементів, пов'язаних з істотними входами. Вимірювання виконуються до визначення несправності або до відповідних входів цифрового вузла.

У разі якщо в якості елемента схеми ЦУ виступає тригер, то для нього Uo \u003d f (x1, x2, ..., xk, U "), де U" - попередній стан тригера. Тому Uo визначається не на кожному наборі. Для RS-триггера зі входами R, S на наборі Uo \u003d l, на наборі U \u003d 0, на наборі Uo може бути 0 або 1 в залежності від U ". Якщо сигнал Uo можна встановити за результатами вимірювання, то відмова діагностується шляхом визначення U , вимірювання його параметрів, зіставлення і порівняння їх з параметрами Uo.

Для прикладу розглянемо діагностування відмови в ЦУ (рис. 7.2). Відмова проявляється у вигляді логічного нуля на вході D1 / 13. Контрольний тест (перший набір) має послідовність:

Входи: 1/1 1/15 1/23 1/32 2/2 2/8 2/18 2/33

Виходи: 1/18 2/14

Відмова проявляється в першому наборі контрольного тесту.

Послідовність діагностування контактної системи представлена \u200b\u200bв табл. 7.1.

Крім діагностування ЦУ по принциповій схемі існує методика діагностування за таблицями. За цією методикою для кожного набору контрольного тесту складаються діагностичні таблиці, повна і скорочена. Повна діагностична таблиця розрахована на кратні несправності; скорочена на поодинокі. Скорочена діагностична таблиця включає тільки ті елементи ІМС, які не перевірені ні на одному з попередніх наборів контрольного тесту. Таблиці складаються за певними правилами, які зручніше розглянути на прикладі (див. Табл. 7.2). У рядку таблиці друкують: № вих. ЦУ; номер каналу установки тест-контролю; № контакту і № гнізда; № вихідного контакту мікросхеми, з'єднаного з контактом роз'єму, і № самої мікросхеми; №№ вих. і вх. контактів мікросхеми, що повіряються в даному наборі.

Якщо в скороченою таблиці частина елементів в середині рядка внесена в одну з попередніх скорочених таблиць, то в даній рядку ці елементи не відрізняються, замість них ставиться три крапки.

Діагностування відмов по таблиці проводиться таким чином. Скорочена таблиця вибирається по номеру набору, на якому виявлено розбіжність. Починають діагностування з того виходу ЦУ, на якому зафіксовано невірний результат і виробляють його послідовно по кожному рядку діагностичної таблиці. Для кожного з елементів рядка таблиці порівнюють значення логічних

сигналів на входах і виходах з відповідними контрольними значеннями таблиці. На елементі, у якого інформація на виході не збігається з контрольною, необхідно зупинитися. Несправним буде або цей елемент, або один з елементів, входи якого з'єднані з виходом цього елемента, або друкований провідник, що з'єднує вихід елемента зі входами інших елементів, джерелом живлення, корпусом і іншими вузлами. Приклад діагностування ЦУ за таблицями наведено в табл. 5.2, 5.3.

Для забезпечення можливості побудови контрольних тестів для ІМ З необхідно, щоб останні мали відповідним рівнем контролепригодности і відповідали в цьому плані певним вимогам. Виконання вимог по контролепригодности скорочує трудомісткість тестів і покращує їх характеристики.

Загальні методи підвищення контролепригодности ЦУ зводяться до наступних рекомендацій: необхідно зменшувати по можливості кількість зворотних зв'язків в схемі ЦУ; в першу чергу це відноситься до зовнішніх зворотним зв'язкам. Ліквідація зворотних зв'язків може бути реалізована шляхом конструктивного розриву з висновком на контакти роз'єму;

слід зменшувати тактность схеми ЦУ, т. е. кількість елементів пам'яті в ланцюзі поширення сигналу від входу до виходу, а також ступінчастою, кількість елементів схеми в ланцюзі поширення сигналів; слід зменшувати кількість мікросхем, що діють на один вихід ЦУ; необхідно реалізувати при проектуванні ЦУ установчу послідовність вхідних наборів, яка переводить всі елементи схеми в якесь стійке стан; слід виводити вихід кожного елемента пам'яті на зовнішні контакти; слід розривати структури типу «сходяться розгалуження».

Описані технічні рішення щодо забезпечення діагностування ЦУ приймаються в основному при проектуванні РЕУіС і самих ІМС. Завдання при постановці на експлуатацію апаратури на ІМС простежити за рівнем прийнятих рішень і виконанням тих рекомендацій, які забезпечують можливість і ефективність діагностування при технічному обслуговуванні РЕУ.

Надіслати свою хорошу роботу в базу знань просто. Використовуйте форму, розташовану нижче

Студенти, аспіранти, молоді вчені, які використовують базу знань в своє навчання і роботи, будуть вам дуже вдячні.

Білоруський державний університет інформатики і радіоелектроніки

Кафедра ЕТТ

"Вбудований контроль і діагностика цифрових

пристроїв. Методи підвищення контролепригодности

цифрових пристроїв "

МІНСЬК, 2008

Якість контролю та діагностики залежить не тільки від технічних характеристик контрольно-диагностирующей апаратури, а й в першу чергу від тестопригодності (контрольованості) самого виробу, що випробовується. Це означає, що якість перевірки багато в чому зумовлюється якістю розробки виробів. Найпростіше рішення підвищення якості контролю - це висновок деяких внутрішніх точок вироби на зовнішній роз'єм. Однак число вільних контактів на роз'ємі обмежена, тому вказаний підхід рідко виявляється доступним або достатньо ефективним. Більш прийнятне рішення пов'язане з розміщенням на платі додаткових функціональних елементів, призначених для безпосереднього отримання або накопичення інформації про стан внутрішніх точок і подальшої її передачі на обробку на вимогу аналізує пристрої (зовнішнього або також вбудованого).

Сигнали, що виникають в процесі функціонування основної та контрольної апаратури, розміщеної разом на одному друкованому модулі або кристалі ІС, зіставляються за певними правилами. В результаті такого зіставлення виробляється інформація про правильному функціонуванні контрольованого вузла. Як надлишкової апаратури може бути використана повна копія перевіряється вузла (рис.1, а). При цьому проводитися найпростіше порівняння двох однакових наборів кодів. З метою зменшення обсягу додаткової контрольної апаратури використовують простіші контрольні пристрої з надмірною кодуванням (рис.1, б), але зате при цьому ускладнюються способи отримання контрольних співвідношень.

Мал. 1. Схеми вбудованого контролю з надмірною дублюванням апаратурної частини (а) і з надмірною кодуванням операцій:

ОУ - основний пристрій; КУ - контрольний пристрій;

УС - пристрій порівняння; КК - пристрій кодування:

УОКК - пристрій обробки контрольних кодів;

УД - пристрій декодування; Z - сигнал помилки.

Надмірне кодування грунтується на введенні у вхідний, оброблюваний і вихідний інформаційний сигнал додаткових символів, які разом з основними утворюють коди, що володіють властивостями виявлення або виправлення помилок.

Як приклад вбудованого контролю з надмірною кодуванням розглянемо один з методів контролю передачі інформації: до групи інформаційних розрядів, що представляють собою простий (т. Е. Ненадлишкових) код додається один надлишковий (контрольний) розряд, що має інформацію про парності і непарності переданої інформації. Значення розряду парності одно), якщо число одиниць в переданому коді парне і 1, якщо число одиниць непарне (рис.2).

При передачі інформації слово передається зі своїм контрольним розрядом. Якщо приймальний пристрій виявляє, що значення контрольного розряду не відповідає парності суми одиниць слова, то це сприймається як ознака помилки в лінії передачі інформації.

Мал. 2. Передача інформації з контрольним розрядом: якщо Z \u003d 0, то інформація передається без помилки; якщо Z \u003d 1, то інформація передається невірно; n - число основних каналів; n + 1 - додатковий контрольний розряд.

За непарності контролюється повне зникнення інформації, так як кодове слово, що складається з нулів, відноситься до заборонених.

Цей метод застосовують в мікропроцесорних системах для контролю передач інформації між регістрами, зчитування інформації в ОЗУ, обмінів між пристроями. Магістралі передач даних складають від 60 до 80% всіх апаратних засобів МПС. Тому використання контролю по парності дозволяє істотно підвищити надійність операцій передачі інформації.

Мал. 3. Схема контролю парності-непарності 8-міразрядной шини пірамідального типу на двухвходових логічних елементах "виключне АБО"

Іншим прикладом можуть з'явитися ітеративні коди. Їх застосовують при контролі передач масивів кодів між зовнішнім ЗУ і ЕОМ, між двома ЕОМ та інших випадках. Ітеративний код утворюється шляхом додавання додаткових розрядів по парності до кожного рядка і кожного стовпця переданого масиву слів (двовимірний код). Крім того, парність може визначатися і по діагональних елементів масиву слова (багатомірний) код. Виявляє здатність коду залежить від числа додаткових контрольних символів. Він дозволяє виявити багаторазові помилки і простий в реабілітації.

До простих апаратним способам вбудованого контролю відноситься спосіб дублювання схем і порівняння вихідних сигналів цих схем (рис.3). Цей метод легко можна застосувати для перевірки будь-якої схеми. Крім тог, він має перевагу, що може виявити будь-яку функціональну помилку, що з'являється в схемі. Недоліком методу є по-перше - збільшення витрат на резервування і, по-друге - не виняток власних помилок резервної контрольної апаратури.

Кілька знизити витрати на апаратне дублювання цифрових схем можна шляхом використання так званої двухпроводной логіки. При цьому вихідна і резервні схеми відрізняються тим, що вони реалізують інверсні виходи і в схемі всі сигнали представлені одночасно в прямому і інвертіруемом вигляді. Порівняння вихідних сигналів при звичайному дублювання здійснюється на підставі їх рівності, а при двухпроводной логіці - на підставі їх нерівності.

Для виявлення помилок в комбінаційних схемах, особливо для арифметичних і логічних функцій, що залежать від двох аргументів, часто застосовують метод псевдодублірованія. У цьому випадку дані обробляються двічі послідовно в часі, в однаковому порядку, проте за різними шляхами і перевіряються на рівність з використанням проміжного, що запам'ятовує. При цьому замість необхідного резервування схеми фактично збільшується час обробки інформації.

На рис.4 зображена схема перевірки двухразрядного покомпонентного логічного об'єднання двох операндів за допомогою АЛП. Спочатку перемикачі S1 і S2 включаються в праве по схемі положення і з виходу АЛП результат операції записується в регістрі 3 пам'яті, підключених до одного з входів схеми порівняння.

На наступному кроці перемикачі S1 і S2 включаються в ліве положення. Старші і молодші розряди вхідних чисел на вході АЛУ міняються місцями, а результат операції з виходу АЛП з також переставленими старшим і молодшим розрядом надходить безпосередньо на схему порівняння.

Мал. 4. Схема перевірки виконання арифметичних операцій за методом псевдодублірованія

Припустимо, що на виході 3 АЛУ проявляється помилка "\u003d 1" (тотожна одиниця) і операнди 0110 і 0010 поразрядно складаються в АЛП за модулем 2. Якщо перемикачі S1 і S2 включені в праве положення, то в регістр 3 записується число 0100. Якщо перемикачі включені в ліве положення, т. е. на виходи АЛУ надходять числа 1100 і 0100, відповідно, а на виході 1100 (з урахуванням помилки \u003d 1 на виході 3 АЛУ). На входи схеми порівняння надходять коди 0100 - з виходу регістра 3 та 0110 - з виходу АЛП, які виробляють сигналу помилки.

Вбудований контролер особливо зручний для організації контролю і діагностики виробів в умовах експлуатації, але він може виявитися корисним і в виробничих умовах, наприклад, при виготовленні БІС мікропроцесорних комплектів. Для цього в схему БІС вводяться додаткові засоби, що здійснюють реконфігурацію структури БІС в режимі тестування і забезпечують, при цьому, поліпшення спостереження та управлiння всіх, що входять в неї тригерів (рис.5, а). В цьому випадку тестування складної БІС перетворюється в порівняно просту процедуру для рекомбінаційних схем, що входять в БІС.

Для реалізації такого підходу необхідні такі кошти реконфігурації структури послідовних схема, щоб сигнал управління перемикав всі тригери з робочого режиму в тестовий, при якому всі тригери стають керованими і спостережуваними (рис.5, б). Найбільшого поширення серед цих методів отримав метод сканування **** здійснюваний за рахунок з'єднання спеціальних додаткових елементів пам'яті в єдиний зсувний регістр, що запам'ятовує внутрішньо стан схеми. Сканування додаткових елементів пам'яті можна контролювати і шляхом адресації до них і прямого вибору інформації про стан схему з додаткових ЗУ.

Все це ускладнює БІС, однак забезпечує економічну доцільність. Так для МП серії Intel 8086, що має площу кристала 3 мм2, введення засобів підвищення контролепригодности збільшує площу кристала приблизно на 20%, що знижує вихід придатних з 10% до 12 (20)%. Разом зі зменшенням кількості кристалів на пластині це призводить до подорожчання виробництва на 70%. Проте зменшення вартості тестування, яке становить понад 80% трудомісткості виготовлення БІС, повністю компенсує таке подорожчання БІС і складні ПУ розробляються таким чином, щоб забезпечити можливість самотестування без участі зовнішнього обладнання і програмних засобів.

Для реалізації самотестування схем на друкованій платі або на кристалі мікропроцесора розміщують два регістри, запрограмованих на виконання функцій генератора псевдовипадкових кодів і сигнатурного генератора. У програмованому ПЗУ процесора зберігатися спеціальна тест-програма, яка повинна забезпечити послідовне тестування всіх функціональних вузлів мікропроцесора. Генератор псевдовипадкових кодів формує вхідну тестову послідовність, спрямовану в контрольовані програмно-доступні блоки мікропроцесора, а сигнатурний генератор знімає з виходу мікропроцесора відповідні контрольні сигнатури які в свою чергу порівнюються з еталонними, збереженими в ПЗУ. Результат порівняння дає інформацію мікропроцесору про свій стан.

Самодіагностика БІС є природним розвитком структурного підходу до проектування контролепригодного пристроїв. Поєднання вбудованих засобів контролепригодности (наскрізного зсувного регістру для сканування станів, генератора псевдовипадкових тесткодов, регістра сигнатурного аналізу) дозволяє організувати самотестування кристалів, напівпровідникових пластин, мікросхем і друкованих вузлів. Оскільки вартість коштів самодиагностирования залишається приблизно однаковою, а витрати на тестування стандартними методами збільшуються в геометричній прогресії, можна вважати, що з ростом насиченості НВІС (ступеня інтеграції) засоби самодіагностики стануть обов'язковими.

Мал. 5. Вбудований контроль БІС МП. Реконфігурація структури БІС в режимі тестування з використанням додаткових тригерів (а) і спеціального ЗУ (б)

ЛІТЕРАТУРА

1. Б. Хабаров, Г. Куликов, А. Парамонов. Технічна діагностика та ремонт побутової радіоелектронної апаратури. - Мн .: Видавництво: Гаряча Лінія - Телеком, 2004. - 376 с.

2. Девідсон Г. Пошук несправностей і ремонт електронної апаратури без схем.2-е ізданіе.М. Видавництво: ДМК Прес. 2005, - 544 с.

3. Ігнатович В.Г., Мітюхін А.І. - Регулювання і ремонт радіоелектронної апаратури. - Мінськ: "Вишейшая школа", 2002 - 366 с.

4. Н.І. Домарёнок, Н.С. Собчук. "Фізичні основи діагностики і неруйнівного контролю якості МЕА", - Мн., БДУІР, 2001..

подібні документи

    Огляд сучасних схем побудови цифрових радіоприймальних пристроїв (РПУ). Подання сигналів у цифровій формі. Елементи цифрових радіоприймальних пристроїв: цифрові фільтри, детектори, пристрої цифрової індикації й пристрої контролю та управління.

    курсова робота, доданий 15.12.2009

    Способи контролю інформаційних слів і адрес в цифрових пристроях автоматики. Структурні і функціональні схеми контролюючих пристроїв. Забезпечення надійності пристроїв автоматики і обчислювальної техніки. Числовий апаратурний контроль за модулем.

    контрольна робота, доданий 08.06.2009

    Структура і напрямки діяльності компанії ТОВ "Головний калібр". Вивчення основних вузлів і пристроїв обчислювальної техніки. Конструкторсько-технологічне забезпечення виробництва пристосування. Виконання робіт з проектування цифрових пристроїв.

    звіт по практиці, доданий 17.04.2014

    Поняття моделей джерел цифрових сигналів. Програми схемотехнічного моделювання цифрових пристроїв. Налаштування параметрів моделювання. Визначення максимального швидкодії. Моделі цифрових компонентів, основні методи їх розробки.

    курсова робота, доданий 12.11.2014

    Алгоритмічне, логічне та конструкторсько-технологічне проектування операційного автомата. Вивчення елементної бази найпростіших цифрових пристроїв. Розробка цифрового пристрою для упорядкування двійкових чисел. Синтез принципових схем.

    курсова робота, доданий 07.01.2015

    Автоматизація конструювання. Розробка схем цифрових пристроїв на основі інтегральних схем різного ступеня інтеграції. Вимоги, методи та засоби розробки друкованих плат. Редактор АСП DipTrace. Вимоги нормативно-технічної документації.

    звіт по практиці, доданий 25.05.2014

    Основні теоретичні принципи роботи пристроїв оперативного контролю достовірності передачі інформації. Устаткування і методика розрахунку достовірності прийому інформації про зниження цифрових систем передачі нижче порогових значень для систем сигналізації.

    контрольна робота, доданий 30.10.2016

    Основні положення алгебри логіки. Складання тимчасової діаграми комбінаційної логічної ланцюга. Розробка цифрових пристроїв на основі тригерів, електронних лічильників. Вибір електронної ланцюга аналого-цифрового перетворення електричних сигналів.

    курсова робота, доданий 11.05.2015

    Технічні характеристики цифрового компаратора. Опис цифрових і аналогових компонентів: мікросхем, датчиків, індикаторів, активних компонентів, їх умовні позначення і принцип роботи. Алгоритм роботи пристрою, структурна і принципова схеми.

    курсова робота, доданий 29.04.2014

    Сутність і параметри надійності як одного з основних параметрів радіоелектронної апаратури. Характеристика працездатності та відмов апаратури. Кількісні характеристики надійності. Структурна надійність апаратури і методи її підвищення.

Вбудований контроль і діагностика цифрових пристроїв. Методи підвищення контролепригодности цифрових пристроїв

Якість контролю та діагностики залежить не тільки від технічних характеристик контрольно-диагностирующей апаратури, а й в першу чергу від тестопригодності (контрольованості) самого виробу, що випробовується. Це означає, що якість перевірки багато в чому зумовлюється якістю розробки виробів. Найпростіше рішення підвищення якості контролю - це висновок деяких внутрішніх точок вироби на зовнішній роз'єм. Однак число вільних контактів на роз'ємі обмежена, тому вказаний підхід рідко виявляється доступним або достатньо ефективним. Більш прийнятне рішення пов'язане з розміщенням на платі додаткових функціональних елементів, призначених для безпосереднього отримання або накопичення інформації про стан внутрішніх точок і подальшої її передачі на обробку на вимогу аналізує пристрої (зовнішнього або також вбудованого).

Сигнали, що виникають в процесі функціонування основної та контрольної апаратури, розміщеної разом на одному друкованому модулі або кристалі ІС, зіставляються за певними правилами. В результаті такого зіставлення виробляється інформація про правильному функціонуванні контрольованого вузла. Як надлишкової апаратури може бути використана повна копія перевіряється вузла (рис.1, а). При цьому проводитися найпростіше порівняння двох однакових наборів кодів. З метою зменшення обсягу додаткової контрольної апаратури використовують простіші контрольні пристрої з надмірною кодуванням (рис.1, б), але зате при цьому ускладнюються способи отримання контрольних співвідношень.

Мал. 1. Схеми вбудованого контролю з надмірною дублюванням апаратурної частини (а) і з надмірною кодуванням операцій:

ОУ - основний пристрій; КУ - контрольний пристрій;

УС - пристрій порівняння; КК - пристрій кодування:

УОКК - пристрій обробки контрольних кодів;

УД - пристрій декодування; Z - сигнал помилки.

Надмірне кодування грунтується на введенні у вхідний, оброблюваний і вихідний інформаційний сигнал додаткових символів, які разом з основними утворюють коди, що володіють властивостями виявлення або виправлення помилок.

Як приклад вбудованого контролю з надмірною кодуванням розглянемо один з методів контролю передачі інформації: до групи інформаційних розрядів, що представляють собою простий (т. Е. Ненадлишкових) код додається один надлишковий (контрольний) розряд, що має інформацію про парності і непарності переданої інформації. Значення розряду парності одно), якщо число одиниць в переданому коді парне і 1, якщо число одиниць непарне (рис.2).

При передачі інформації слово передається зі своїм контрольним розрядом. Якщо приймальний пристрій виявляє, що значення контрольного розряду не відповідає парності суми одиниць слова, то це сприймається як ознака помилки в лінії передачі інформації.

Мал. 2. Передача інформації з контрольним розрядом: якщо Z \u003d 0, то інформація передається без помилки; якщо Z \u003d 1, то інформація передається невірно; n - число основних каналів; n + 1 - додатковий контрольний розряд.

За непарності контролюється повне зникнення інформації, так як кодове слово, що складається з нулів, відноситься до заборонених.

Цей метод застосовують в мікропроцесорних системах для контролю передач інформації між регістрами, зчитування інформації в ОЗУ, обмінів між пристроями. Магістралі передач даних складають від 60 до 80% всіх апаратних засобів МПС. Тому використання контролю по парності дозволяє істотно підвищити надійність операцій передачі інформації.

Мал. 3. Схема контролю парності-непарності 8-міразрядной шини пірамідального типу на двухвходових логічних елементах "виключне АБО"

Іншим прикладом можуть з'явитися ітеративні коди. Їх застосовують при контролі передач масивів кодів між зовнішнім ЗУ і ЕОМ, між двома ЕОМ та інших випадках. Ітеративний код утворюється шляхом додавання додаткових розрядів по парності до кожного рядка і кожного стовпця переданого масиву слів (двовимірний код). Крім того, парність може визначатися і по діагональних елементів масиву слова (багатомірний) код. Виявляє здатність коду залежить від числа додаткових контрольних символів. Він дозволяє виявити багаторазові помилки і простий в реабілітації.

До простих апаратним способам вбудованого контролю відноситься спосіб дублювання схем і порівняння вихідних сигналів цих схем (рис.3). Цей метод легко можна застосувати для перевірки будь-якої схеми. Крім тог, він має перевагу, що може виявити будь-яку функціональну помилку, що з'являється в схемі. Недоліком методу є по-перше - збільшення витрат на резервування і, по-друге - не виняток власних помилок резервної контрольної апаратури.

Кілька знизити витрати на апаратне дублювання цифрових схем можна шляхом використання так званої двухпроводной логіки. При цьому вихідна і резервні схеми відрізняються тим, що вони реалізують інверсні виходи і в схемі всі сигнали представлені одночасно в прямому і інвертіруемом вигляді. Порівняння вихідних сигналів при звичайному дублювання здійснюється на підставі їх рівності, а при двухпроводной логіці - на підставі їх нерівності.

Для виявлення помилок в комбінаційних схемах, особливо для арифметичних і логічних функцій, що залежать від двох аргументів, часто застосовують метод псевдодублірованія. У цьому випадку дані обробляються двічі послідовно в часі, в однаковому порядку, проте за різними шляхами і перевіряються на рівність з використанням проміжного, що запам'ятовує. При цьому замість необхідного резервування схеми фактично збільшується час обробки інформації.

На рис.4 зображена схема перевірки двухразрядного покомпонентного логічного об'єднання двох операндів за допомогою АЛП. Спочатку перемикачі S1 і S2 включаються в праве по схемі положення і з виходу АЛП результат операції записується в регістрі 3 пам'яті, підключених до одного з входів схеми порівняння.

На наступному кроці перемикачі S1 і S2 включаються в ліве положення. Старші і молодші розряди вхідних чисел на вході АЛУ міняються місцями, а результат операції з виходу АЛП з також переставленими старшим і молодшим розрядом надходить безпосередньо на схему порівняння.

Мал. 4. Схема перевірки виконання арифметичних операцій за методом псевдодублірованія

Припустимо, що на виході 3 АЛУ проявляється помилка "\u003d 1" (тотожна одиниця) і операнди 0110 і 0010 поразрядно складаються в АЛП за модулем 2. Якщо перемикачі S1 і S2 включені в праве положення, то в регістр 3 записується число 0100. Якщо перемикачі включені в ліве положення, т. е. на виходи АЛУ надходять числа 1100 і 0100, відповідно, а на виході 1100 (з урахуванням помилки \u003d 1 на виході 3 АЛУ). На входи схеми порівняння надходять коди 0100 - з виходу регістра 3 та 0110 - з виходу АЛП, які виробляють сигналу помилки.

Вбудований контролер особливо зручний для організації контролю і діагностики виробів в умовах експлуатації, але він може виявитися корисним і в виробничих умовах, наприклад, при виготовленні БІС мікропроцесорних комплектів. Для цього в схему БІС вводяться додаткові засоби, що здійснюють реконфігурацію структури БІС в режимі тестування і забезпечують, при цьому, поліпшення спостереження та управлiння всіх, що входять в неї тригерів (рис.5, а). В цьому випадку тестування складної БІС перетворюється в порівняно просту процедуру для рекомбінаційних схем, що входять в БІС.

Для реалізації такого підходу необхідні такі кошти реконфігурації структури послідовних схема, щоб сигнал управління перемикав всі тригери з робочого режиму в тестовий, при якому всі тригери стають керованими і спостережуваними (рис.5, б). Найбільшого поширення серед цих методів отримав метод сканування **** здійснюваний за рахунок з'єднання спеціальних додаткових елементів пам'яті в єдиний зсувний регістр, що запам'ятовує внутрішньо стан схеми. Сканування додаткових елементів пам'яті можна контролювати і шляхом адресації до них і прямого вибору інформації про стан схему з додаткових ЗУ.

Все це ускладнює БІС, однак забезпечує економічну доцільність. Так для МП серії Intel 8086, що має площу кристала 3 мм2, введення засобів підвищення контролепригодности збільшує площу кристала приблизно на 20%, що знижує вихід придатних з 10% до 12 (20)%. Разом зі зменшенням кількості кристалів на пластині це призводить до подорожчання виробництва на 70%. Проте зменшення вартості тестування, яке становить понад 80% трудомісткості виготовлення БІС, повністю компенсує таке подорожчання БІС і складні ПУ розробляються таким чином, щоб забезпечити можливість самотестування без участі зовнішнього обладнання і програмних засобів.

Для реалізації самотестування схем на друкованій платі або на кристалі мікропроцесора розміщують два регістри, запрограмованих на виконання функцій генератора псевдовипадкових кодів і сигнатурного генератора. У програмованому ПЗУ процесора зберігатися спеціальна тест-програма, яка повинна забезпечити послідовне тестування всіх функціональних вузлів мікропроцесора. Генератор псевдовипадкових кодів формує вхідну тестову послідовність, спрямовану в контрольовані програмно-доступні блоки мікропроцесора, а сигнатурний генератор знімає з виходу мікропроцесора відповідні контрольні сигнатури які в свою чергу порівнюються з еталонними, збереженими в ПЗУ. Результат порівняння дає інформацію мікропроцесору про свій стан.

Самодіагностика БІС є природним розвитком структурного підходу до проектування контролепригодного пристроїв. Поєднання вбудованих засобів контролепригодности (наскрізного зсувного регістру для сканування станів, генератора псевдовипадкових тесткодов, регістра сигнатурного аналізу) дозволяє організувати самотестування кристалів, напівпровідникових пластин, мікросхем і друкованих вузлів. Оскільки вартість коштів самодиагностирования залишається приблизно однаковою, а витрати на тестування стандартними методами збільшуються в геометричній прогресії, можна вважати, що з ростом насиченості НВІС (ступеня інтеграції) засоби самодіагностики стануть обов'язковими.

Мал. 5. Вбудований контроль БІС МП. Реконфігурація структури БІС в режимі тестування з використанням додаткових тригерів (а) і спеціального ЗУ (б)

ЛІТЕРАТУРА

1. Б. Хабаров, Г. Куликов, А. Парамонов. Технічна діагностика та ремонт побутової радіоелектронної апаратури. - Мн .: Видавництво: Гаряча Лінія - Телеком, 2004. - 376 с.

2. Девідсон Г. Пошук несправностей і ремонт електронної апаратури без схем.2-е ізданіе.М. Видавництво: ДМК Прес. 2005, - 544 с.

3. Ігнатович В.Г., Мітюхін А.І. - Регулювання і ремонт радіоелектронної апаратури. - Мінськ: "Вишейшая школа", 2002 - 366 с.

4. Н.І. Домарёнок, Н.С. Собчук. "Фізичні основи діагностики і неруйнівного контролю якості МЕА", - Мн., БДУІР, 2001..

У зв'язку з широким впровадженням ЦС на базі БІС і мікропроцесорних комплектів (МПК) завдання діагностики, тобто процес визначення технічного стану об'єкта з певною точністю до несправного елемента, у багатьох випадках є трудноразрешаемой. Зарубіжний досвід експлуатації апаратури зв'язку на базі БІС і МПК показав, що забезпечити надійне функціонування останньої неможливо без відповідної організації контролю і технічної діагностики. Це пов'язано зі значним зростанням кількості знаходяться в експлуатації складних цифрових плат, а також різним підходом до питань забезпечення контролепригодности різними підприємствами - виробниками цифрових систем. Більшість фахівців, що займаються обслуговуванням складної апаратури, досить ясно усвідомило, що до проблеми контролю і діагностики не можна відноситься як до питання другорядної важливості. Тому підвищення технічних і експлуатаційних характеристик складної апаратури на базі БІС і МПК нерозривно пов'язане з розробкою нових методів і засобів діагностики, з необхідністю всебічного обліку та аналізу цифрових плат і їх складових частин, як об'єкта контролю та діагностики.

Ефективна система технічної діагностики повинна забезпечувати двоступеневу стратегію пошуку несправностей в ЦТО з глибиною пошуку, відповідно, до ТЕЗа (плати) та мікросхеми. З урахуванням розширення номенклатури ЦТО виникає необхідність в зниженні вимог до кваліфікації обслуговуючого персоналу систем технічного діагностування, особливо для центрів сервісного обслуговування та ремонту. Діагностична апаратура, призначена для цих центрів, повинна мати по можливості мінімальні масогабаритні показники і забезпечувати облік специфіки кожного об'єкта діагностування.

Двоступенева стратегія технічної діагностики складається з наступних етапів:

Локалізація несправностей ЦТО до типового елементу заміни (ТЕЗа) або групи ТЕЗов (здійснюється вбудованою системою автоматичної діагностики.) При цьому діагностичні тести запускаються на вимогу системи техобслуговування. Несправний ТЕЗ повинен замінятися справним ТЕЗом зі складу ЗІП;

ТЕЗ, який замінили, маркується як несправний і відправляється в центр ремонту. В останньому з допомогою діагностичних засобів здійснюється пошук, локалізація несправного компонента і його заміна. Кількість і склад ЗІП в центрах повинні забезпечити її безперервну роботу з урахуванням повернення ТЕЗов з ремонту.



Особливості контролю і діагностики цифрових плат з БІС полягають в наступному:

Широкий діапазон характеристик БІС;

Кількість контрольних тестів може досягати кілька тисяч;

Цифрові плати з БІС мають магістральний принцип організації, що вимагає забезпечення обміну даними по 4, 8, 16-розрядними шинами за один період тактової частоти, а також одночасний багатоканальний контроль;

Магістральні шини в більшості БІС мають двонаправлений режим роботи, тому контрольне обладнання повинно забезпечувати перемикання з передачі на прийом протягом одного періоду тактової частоти;

Цифрові плати з БІС можуть мати в інтерфейсних схемах кілька двонапрямлених каналів введення / виводу;

Так як тимчасові характеристики відіграють важливу роль, то операції контролю повинні проводиться на частоті, близькій до робочої частоті, до 10-20 МГц.

На підставі вищевикладеного можна відзначити, що в умовах експлуатації апаратури зв'язку потрібно рішення наступних завдань контролю і діагностики:

Зниження собівартості контрольно-діагностичних робіт з метою мінімізації собівартості ремонтно - відновлювальних робіт (РВР);

Збір та обробка інформації про експлуатаційної надійності цифрових плат і їх складових частин, а також про тимчасові і економічних витратах на пошук і усунення несправностей.

З точки зору діагностики, процесу виявлення і усунення несправностей в умовах експлуатації притаманні нижченаведені специфічні особливості:

У більшості випадків достатня локалізація несправностей на рівні змінної цифровий плати;

Висока ймовірність появи до моменту ремонту не більше однієї несправності;

У більшості систем передбачені деякі можливості контролю і діагностики, можливість контролю стану працездатності;



При правильно організованих профілактичних оглядах можливо раннє виявлення потенційного відмови;

Контроль і діагностика невеликої кількості апаратури зв'язку при великому числі різноманітних типів цифрових плат.

Процес автоматичного діагностування (в системах функціонального і тестового діагностування) може бути реалізований наступними способами:

апаратним;

програмним;

Програмно - апаратурним.

Апаратний спосіб діагностування може бути використаний стосовно до різних технічних об'єктів. На відміну від нього програмний спосіб діагностування застосуємо тільки для об'єктів, що працюють по змінному програмі. Прикладами таких об'єктів є спеціалізовані та універсальні, керуючі і обчислювальні машини.

Програмний спосіб діагностування реалізується за допомогою програм, під керуванням яких працює об'єкт діагностування.

Найбільш ефективним є програмно - апаратний спосіб діагностування поєднує в собі переваги перших двох способів.

З метою розробки автоматизованого пристрою діагностики цифрових плат (АУДЦП) на базі ПЕОМ та створення бази діагностичних даних повинні бути розглянуті:

Методика аналізу номенклатури та технічних даних заданих типів цифрових плат електронного обладнання, як об'єкта контролю та діагностики для засобів компактного тестування;

Методика аналізу статистичних даних підконтрольної експлуатації заданої апаратури для визначення надёжностних характеристик цифрових плат.

За першим напрямком проводиться аналіз номенклатури і технічних даних окремих цифрових плат і їх складових частин, необхідних при розробці пристрою сполучення АУДЦП на базі ПЕОМ та об'єкта діагностики цифрової плати:

Розподіл числа різних за функціональним призначенням цифрових плат в кінцевої і каналообразующей апаратурі;

Число тіпонаіменованій цифрових плат і їх розміри, типи, серії і число ІМС, БІС і МПК;

Типи і число роз'ємів, число контактів роз'ємів в різних типах цифрових плат;

Робочі частоти функціонування вузлів в розглянутих цифрових платах;

Градації напруги джерел живлення для різних цифрових плат з ІМС, БІС і МПК.

За другим напрямком проводиться аналіз існуючої підсистеми ремонтно - відновлювальних робіт, пов'язаних з цифровими платами:

Загальна організація, способи і засоби контролю та діагностики, що використовуються при РВР;

Тимчасові і вартісні витрати на проведення контрольно-діагностичних операцій для заданих цифрових плат і ремонтно-відновлювальних робіт в цілому;

Аналіз надёжностних характеристик цифрових плат і їх складових частин за результатами узагальненого досвіду експлуатації.

З метою визначення основних кількісних показників експлуатаційної надійності цифрових плат, облік яких дозволить знизити реальні трудовитрати на проведення контрольно-діагностичних операцій, проводиться аналіз:

Інтенсивності відмов цифрових плат;

Частка відмов окремих цифрових плат в загальній кількості відмов апаратури;

Середній час пошуку несправності;

Напрацювання на відмову і середній час відновлення цифрових плат;

Ранжування цифрових плат за критерієм експлуатаційної надійності.

Таким чином, в створюваній базі діагностичних даних АУДЦП передбачається зберігання:

Відомостей про типах ІМС, БІС і МПК і їх еталонних сигнатури, необхідних при їх заміні та для організації вхідного контролю;

Відомостей про перевіряються цифрових платах і їх еталонних сигнатури, безпосередньо на контактах роз'ємів;

Відомостей про топологічної моделі схеми цифрових плат;

Алгоритмів для пошуку і локалізацій місця несправності в цифрових платах карти пошуку несправності;

Відомостей про зовнішні стикувальних параметрах, необхідних при настроювання та перевірку працездатності відновлювальних цифрових плат і доведення цих параметрів до норм, зазначених в технічних умовах.

При цьому, як показує зарубіжний і вітчизняний досвід створення автоматизованих засобів контролю і діагностики, користувачеві АУДЦП необхідно представити на вибір один з нижче наступних режимів:

Режим словника "журнал" еталонних сигнатур, для заданих типів цифрових плат. Подібний словник сигнатур цифрових плат дає можливість контролювати по ним стан цифрової схеми в довільному порядку, відшукуючи неправильні або нестабільні сигнатури;

Режим зворотного простежування помилок за заданим алгоритмом карти пошуку несправності в цифровий платі. В цьому режимі оператор отримує вказівки по послідовному контролю набору точок, що дозволяє оператору, починаючи з неправильної сигнатури, визначити весь ланцюжок сигнатур, що веде до несправного елементу або вузлу схеми з точністю, яку забезпечують методи компактного тестування.

В обох режимах відображення діагностичної інформації здійснюється на дисплеї, а носій діагностичної програми закладено в пам'ять ПЕОМ.

При цьому в АУДЦП після закінчення контрольно-діагностичних процедур повинно забезпечуватися автоматичне документування і зберігання результатів:

Дати та часу прояву несправності;

Режиму роботи апаратури в момент появи несправності;

Місця і засобів, що застосовуються для пошуку і локалізації місця несправності;

Місця і причини несправності.